Синтез счетчиков

11434
знака
0
таблиц
13
изображений

3.1 Теоретические сведения

В настоящие время счетчики выпускаются в интегральном исполнении и чаще всего имеют четыре разряда. Если требуется большее число разрядов счетчик необходимо наращивать. В зависимости от внутренней структуры наращивание производится с помощью непосредственной связи, последовательного или параллельного распространения переноса.

Счетчик, построенный с использованием непосредственной связи между триггерами не имеет выхода переноса и переключается по перепаду из единицы в ноль (1, 0). Схема наращивания разрядности такого счетчика показана на рисунке 3.1. С- счетный вход.


Рисунок 3.1

Счетчики с параллельным переносом, переключающиеся по перепаду 0,1 и имеющие выход переноса Р, но не имеющие входа разрешения переноса наращиваются по схеме, показанной на рисунке 3.2. Р - выход переноса, С- счетный вход.

2.jpg         

Рисунок 3.2

По такой схеме можно собирать и счетчики, имеющие вход разрешения переноса, но нужно внимательно смотреть момент появления активного перепада на выходе Р и при необходимости согласовывать его с нужной последовательностью счета. Быстродействие при таком способе наращивания уменьшается за счет того, что переключение между микросхемами счетчиков последовательное, если даже сами счетчики по структуре с параллельным переносом или синхронные.

Счетчики, имеющие вход разрешения переноса с использованием последовательного распространения переноса соединяются по схеме рисунка 3.3. ЕР – вход разрешения переноса, Р - выход переноса, С- счетный вход.      

В схеме на рисунке 3.3 перенос последовательно распространяется через все счетчики. Длительность сигнала переноса равна периоду входной частоты и в результате задержки в схемах счетчика его длительность на выходе каждой последующей микросхемы уменьшается. Результирующее время задержки не должно превышать длительности периода входного сигнала. Этот фактор уменьшает быстродействие всего счетчика в целом по сравнению с отдельной микросхемой.


Рисунок 3.3

 

Наращивание счетчиков с параллельным распространением переноса показано на рисунке 3.4. ЕС – вход разрешения счета, ЕР – вход разрешения переноса, Р - выход переноса, С- счетный вход.


Рисунок 3.4

 

Допустимая частота входного сигнала такого счетчика не зависит от числа микросхем счетчиков, а определяется только быстродействием отдельной микросхемы. Такая схема применима только для синхронных счетчиков, имеющих соответствующие входы.

Весовые коэффициенты выходов наращенного счетчика определяется так же, как и для одного счетчика. Для двоичного это 2 n, где n - номер выхода, начиная с нуля. Для двоично-десятичного это 2 n · 10 m, где n - номер выхода четырехразрядного счетчика, начиная с нуля, m - номер десятичного разряда наращенного счетчика, начиная с 1. Весовые коэффициенты наращенного счетчика обозначены на рисунке 3.5. Для двоично-десятичного счетчика весовые коэффициенты помещены в скобки.


Рисунок 3.5

На приведенных схемах легко получить модуль счета кратный двум. Но часто возникает необходимость получить модуль счета отличный от кратного двум. Для построения счетчика с произвольным модулем счета на базе счетчиков в интегральном исполнении сейчас применяется в основном два способа.

Первый способ заключается в том, чтобы сбрасывать счетчик в начальное состояние до его переполнения (сброс). Для этого к выходам счетчика подключается детектор состояний, который вырабатывает сигнал сброса при появлении нужной комбинации конца счета. В качестве детектора состояний можно использовать дешифратор, цифровой компаратор или элемент И. Схема с использованием элемента И наиболее проста. Она показана на рисунке 3.6. Начальное состояние суммирующих счетчиков – 0.

Входы элемента И подключены к выходам счётчика таким образом, что при появлении на выходах счётчика комбинации конца счёта на выходе элемента И устанавливается единица, которая и сбросит счётчик в ноль. Для получения нужного модуля счета у суммирующих двоичных и двоично-десятичных счётчиков к элементу И подключаются те выходы, сумма весовых коэффициентов которых и равна нужному модулю счёта. Сумма весовых коэффициентов набирается из ряда 1,2,4,8,16,32,64,128 и т.д. для двоичного счетчика и из ряда 1,2,4,8,10,20,40,80,100 и т.д. для двоично-десятичного счетчика.

Второй способ заключается в том, чтобы счётчик начинал считать не с начального состояния, а с какой-то другой комбинации выходных сигналов (предустановка), но досчитал до конечной комбинации. Для этого на входы данных необходимо подать начальную комбинацию и перед началом счёта записать ее в счётчик. В случае циклической работы сигналом для записи может служить появление на выходе переноса счётчика сигнала о конце счёта. Сигнал с этого выхода используется для записи в счётчик начальной комбинации. Схема реализации второго способа показана на рисунке 3.7. Выход переноса СР соединён с входом разрешения загрузки (записи) данных LD в счетчик.

6.jpg 

 Рисунок 3.6                                                Рисунок 3.7

 

 

Модуль счета счетчиков с асинхронной загрузкой (записью) определяется по формулам:

для двоичных при суммировании                         М = (2 n -1)-d;

для двоичных при вычитании                               М= d;

для двоично-десятичных при суммировании      M = (10 m -1) – d;

для двоично-десятичных  при вычитании            M = d.

Здесь n-разрядность счетчика; m-число десятичных разрядов; d-загружаемая комбинация. В счётчике с асинхронной загрузкой появление комбинации конца счета и загрузка происходит между двумя соседними импульсами на счётном входе, т.е. за один такт.

В счётчике с синхронной загрузкой для записи в него комбинации требуется дополнительный такт. В одном такте появляется комбинация конца счёта, а в следующем происходит загрузка. Модули счёта счетчиков с синхронной загрузкой определяются по формулам:

для двоичных при суммировании                         М = 2 n -d;    

для двоичных при вычитании                               М= d +1;

для двоично-десятичных при суммировании      M = 10 m – d;              

для двоично-десятичных  при вычитании            M = d + 1.

Наиболее быстродействующие счётчики строятся на базе сдвигающих регистров. Такие счётчики называют кольцевыми. При их построении используются разные коды.  Один из кодов называется кодом Джонсона, а счётчики, в которых этот код используется – счётчиками Джонсона. В коде Джонсона последовательность нулей сменяется последовательностью единиц, затем снова последовательность нулей и.т.д. Принцип построения такого счётчика показан на рисунке 3.8.

Модуль счёта такого счётчика М = 2n, где n - число используемых разрядов регистра.

Чтобы получить нечётный модуль счёта, необходимо исключить одну из комбинаций. Один из вариантов построения счётчика с нечётный модуль счёта показан на рисунке 3.9. Модуль счёта в этом случае М = 2n – 1, а элемент    ИЛИ-НЕ подключен к выходам n и (n – 1) регистра, где n - число используемых разрядов регистра.

Недостатком кольцевых счётчиков является меньшая их ёмкость при одинаковом количестве разрядов по сравнению с счётчиком на базе Т-триггеров.


Рисунок 3.8                                                   Рисунок 3.9

 

Пример выполнения задания 

1. Разработать счётчик делитель с использованием сброса в нулевое состояние до окончания счёта. Тип счетчика К1533ИЕ19, модуль счета 155.

Условное графическое обозначение счетчика показано на рисунке 3.10

8.jpg     

Рисунок 3.10   

Микросхема представляет собой два идентичных двоичных четырехразрядных счетчика с индивидуальными счетными входами С и входами сброса в 0 R. Сброс счетчика в 0  происходит при подаче высокого уровня напряжения на вход R. При низком уровне напряжения на входе R переключение выходов счетчика происходит по перепаду импульса с 1 на 0 на входе С. В данном счетчике связями между триггерами непосредственная.

Один счетчик обеспечивает модуль счета не более 16, поэтому необходимо использовать два счетчика. Данная интегральная схема уже содержит два счетчика, и следовательно достаточно одного корпуса микросхемы. Последовательное включение двух счетчиков обеспечивает модуль счета 256. У данного счетчика связь между триггерами непосредственная, поэтому наращиваются счетчики по схеме, показанной на рисунке 3.11.

Для построения счетчика с заданным модулем счета используется схема, показанная на рисунке 3.11. Сумма весовых коэффициентов набирается из ряда 1,2,4,8,16,32,64,128 т.к. данный счетчик двоичный. Следует обратить внимание на то, что при использовании двоично-десятичных счетчиков для реализации модуля счета 155 потребовалось бы три счетчика т.к. один двоично-десятичный счетчик обеспечивает модуль счета не более 10. Число 155 набирается из следующих коэффициентов:

155 = 128 +16 + 8 + 2 +1

Реальные логические элементы И имеют 2…4 входа, поэтому используется два логических элемента К1533ЛИ3, включенные цепочкой. Схема разработанного счетчика показана на рисунке 3.11

DD1     К1533ИЕ19

DD2      К1533ЛИ3

Рисунок 3.11

 

2. Разработать счётчик - делитель с использованием предустановки. Тип счетчика К555ИЕ17, счетчик суммирующий, модуль счета 155. Условное графическое обозначение счетчика показано на рисунке 3.12.

10.jpg 

Рисунок 3.12

Счетчик двоичный, четырехразрядный, реверсивный. Переключающий перепад на входе С с 0 на 1. Режим счета CЕ = 0,  PE = 0, LD = 1. Суммирование при U/D = 1. Запись в счетчик асинхронная.

11.jpg

DD1     К555ИЕ17

DD2     К555ИЕ17

DD3        К555ЛЛ1

Рисунок 3.13

 

Один счетчик обеспечивает модуль счета не более 16, поэтому необходимо использовать два счетчика. Включение двух счетчиков обеспечивает модуль счета 256. Данный счетчик обеспечивает возможность последовательного переноса, поэтому включается по схеме, показанной на рисунке 3.13.

Модуль счета определяется по формуле М = (2 n -1)-d т.к. запись в счетчик асинхронная. Загружаемое число (256 – 1) – 155 = 100.  Число 100 набирается из следующих коэффициентов:

100 = 64 +32 + 4

и на входы с такими весовыми коэффициентами подаются 1 (они подключаются к шине источника питания через резистор R), а на остальные входы данных подаются 0 (они подключаются к общей шине). Чтобы запись в счетчики числа 100 происходила только тогда, когда оба счетчика досчитают до последней комбинации, предусматривается логический элемент ИЛИ (DD3). На его выходе появится 0 только тогда, когда на выходе переноса Р у обоих счетчиков будет 0. Функция логического элемента в общем случае зависит от логических уровней записи в счетчик и переноса. На входы управления счетчиков, которые не используются при коммутации, обязательно должны подаваться логические уровни, соответствующие режиму работы счетчика (в данном случае режим работы: счет на сложение).  Схема разработанного счетчика показана на рисунке 3.13.

3. Разработать счетчик Джонсона с модулем счета 9. Тип регистра К155ИР1. Условное графическое обозначение счетчика показано на рисунке 3.14.

12.jpg

Рисунок 3.14

Регистр четырехразрядный сдвигающий вправо. Последовательная запись и сдвиг при L = 0 и перепаде сигнала с 1 на 0 на входе CS .

Модуль счёта в данном случае нечетный, поэтому определяется по формуле М = 2n – 1. Количество необходимых разрядов регистра:

n = (М + 1) / 2 = (9 +1) / 2 = 5

У регистра К1555ИР1 четыре разряда, поэтому необходимо два регистра. Входы элемента ИЛИ-НЕ подключаются к выходу Q3 регистра DD1 и выходу Q0 регистра DD2.  Схема разработанного счетчика показана на рисунке 3.15.


DD1, DD2    К155ИР1

DD3             К555ЛЕ1

Рисунок 3.15


Информация о реферате «Синтез счетчиков»
Раздел: Радиоэлектроника
Количество знаков с пробелами: 11434
Количество таблиц: 0
Количество изображений: 13

Похожие материалы

Скачать
22862
3
8

теза. Умелое применение обоих методов и их сопоставление позволит выбрать оптимальный вариант синтезируемой..схемы. Задачей данной курсовой работы является синтез суммирующего асинхронного счетчика с типом триггеров JJJJ, Ксч=11 и в коде 6-3-2-1. 1.  Характеристика проектируемого устройства 1.1  Назначение и особенности асинхронных счетчиков Счетчики представляют собой более высокий, чем ...

Скачать
41545
36
0

... MK Совокупность МО Y1 y1,y2,y3 Y2 y2 Y3 y3 Y4 y4 Y5 y5 Y6 y4,y6 Y7 y7 Y8 y8 Y9 y1,y3 Каждой условной вершине содержательной ГСА поставим в соответствие один из входных сигналов управляющего автомата X1, … ,X9, список которых дан в таблице 6. Таблица 6 Входной сигнал УА X1 X2 X3 X4 X5 X6 X7 X8 X9 Логическое условие ОА ...

Скачать
11077
8
0

... развились и на практике чаще применяют именно импульсные последовательности. Генератор импульсов‑ автогенератор ,вырабатывающий импульсы прямоугольной формы. Генераторы обеспечивают работу цифрового устройства и характеризуются частотой сигнала, стабильностью частоты, скважностью, видом последовательности сигнала и другими параметрами. В соответствии с принятыми условиями необходимо ...

Скачать
15519
0
14

... . Импульс 1 — строка 2 табл.13.2. Переключается только триггер T1, поскольку только у него на входах J и K действует уровень логической 1. T1 переходит из состояния 0 в состояние 1. Результат: на выходе счетчика 001. Импульс 2 — строка 3. Переключаются два триггера T1 и T2, поскольку на входах J и K этих триггеров действует уровень логической 1. T1 переходит из состояния 1 в состояние 0, T2 — ...

Скачать
17286
12
23

... по определенным правилам синтезировать логические схемы с заданными характеристиками. Выполнение предлагаемого задания позволит приобрести основные необходимые для инженера навыки. 1. Порядок синтеза схемы шифратора и кодопреобразователя для управления 1-разрядным 7-сегментным индикатором Проиллюстрируем методику решения задачи на примере. 1. Входные данные вводятся в унитарном коде. ...

0 комментариев


Наверх